Ping Pong Basico en vhdl

Proyectos de Estudio [~Bolivia~]

Buen dia como ya presente este proyecto y lo calificaron se los presento ahora, es el juego pin pong basico en vhdl, para un jugador. Ya se el funcionamiento basico del monitor vga asi que puedo ayudarles alguito en modo de operacion, y sobre el vhdl.

TEMA: Video Juego Pong en VHDL utilizando tarjeta Xilinx Spartan-3AN
MATERIA: Proyecto 2
DOCENTE: Ing. Roberto Oropeza C.
link del video: http://www.youtube.com/watch?v=jQj5OalqLu0
DIAPOSITIVAS: descarga la presentacion aqui http://www.mediafire.com/?udg9378e7mw6xnk

les presento algo del trabajo en cuestion
Resumen
“PONG”, un video juego sencillo,  es la representación del deporte de  TENIS, que consiste en hacer botar el balón en una paleta a otra sin equivocarse. En el presente proyecto describimos el movimiento del balón y de la paleta en un campo diseñado para tal cometido. Analizamos el monitor VGA para poder describir un controlador del mismo, utilizando la descripcion de hardware que nos brinda el VHDL.
Por ultimo mostraremos como el  monitor brinda el campo de juego y también hara de interfas entre el juego y el jugador.

Abstract
"PONG", a simple video game, is the representation of the sport of tennis, which consists of bouncing the ball on a pallet to another without making a mistake. In this project, we describe the movement of the ball and paddle in a field designed for that purpose. We analyze the VGA monitor to describe the same controller, using the hardware description that gives us the VHDL.
Finally we show how the monitor provides the playing field and will make the interface between the game and the player.



Indice General
Lista de Figuras                                        IV
Lita de Tablas                                            V
Capitulo 1: ANTECEDENTES Y PROPOSITOS                               
1.1  Introducción                                        1
1.2. Objetivos                                            2
1.2.1  Objetivo principal
1.2.2  Objetivos secundarios
Capitulo 2: MARCO TEORICO
2.1. Antecedentes: Descripción de Hardware                        3
2.1.1.  Lenguajes Precursores: CDL, DDL, AHPL, ISPS, TI-HDL        
2.1.2. Lenguajes Actuales: VERILOG, UDL/I, VHDL
2.2 VHDL                                            6
2.2.1.  Entidad y Arquitectura
2.2.2 Unidad de Diseño y Librerías
2.2.3.    Modelo de Diseño Básico
2.3 VGA.                                            10
2.3.1 Señales de Sincronización. Hsync y Vsync
2.3.2 Timing de Señales de Sincronización VGA
2.3.3 Generador de Pixeles
Capitulo 3: DESARROLLO DEL PROYECTO
3.1 Hardware empleado:                                    17
XILINX Spartan 3an, Monitor VGA
3.2 Descripción del Sistema: Ping Pong                            19
    3.2.1  Diagrama de Flujo
3.3 Elaboración y Ejecución del Videojuego “PONG”                    20
        3.3.1 Señales de sincronismo: VGA SYNC
        3.3.2 Generador de pixeles: PONG_GRAPH
•    Objetos Rectangulares 
        3.3.3 Objetos no Rectangulares
        3.3.4 Animacion: PONG_GRAPH_ANIMADO
3.4 Programa Principal: JUEGO_PONG                            36
Capitulo 4: CONCLUSIONES Y MEJORAS
4.1 Conclusiones                                        38
4. 2 Mejoras                                            39
5. BIBLIOGRAFÍA                                        39
6. ANEXOS                                            40

Coordiales saludos