VIDEOJUEGO PONG EN VHDL

Proyectos de Estudio [~Bolivia~]


VIDEOJUEGO PONG EN VHDL
UTILIZANDO TARJETA XILINX SPARTAN-3AN
  • MATERIA : PROYECTO II 
  • DOCENTE: ING. ROBERTO OROPEZA CRESPO
  •  LA PAZ - BOLIVIA
Les presento el documento completo (pdf) del anterior post de este blog http://electronico-etn.blogspot.com/2011/06/ping-pong-basico-en-vhdl.html en el cual presentamos las diapositivas, ademas de un video de funcionamiento de la implementacion del codigo vhdl en la tarjeta spartan3-an


INDICE GENERAL

Resumen/Abstract
Lista de Figuras
Lista de Tablas

Capitulo 1: ANTECEDENTES Y PROPOSITOS
1.1 Introducción
1.2. Objetivos
    1.2.1 Objetivo principal
    1.2.2 Objetivos secundarios

Capitulo 2: MARCO TEORICO
2.1. Antecedentes: Descripción de Hardware
    2.1.1. Lenguajes Precursores: CDL, DDL, AHPL, ISPS, TI-HDL
    2.1.2. Lenguajes Actuales: VERILOG, UDL/I, VHDL
2.2 VHDL
    2.2.1. Entidad y Arquitectura
    2.2.2 Unidad de Diseño y Librerías
    2.2.3. Modelo de Diseño Básico
2.3 VGA.
    2.3.1 Señales de Sincronización. Hsync y Vsync
    2.3.2 Timing de Señales de Sincronización VGA
    2.3.3 Generador de Pixeles

Capitulo 3: DESARROLLO DEL PROYECTO
3.1 Hardware empleado:
             XILINX Spartan 3an, Monitor VGA
3.2 Descripción del Sistema: Ping Pong
    3.2.1 Diagrama de Flujo
3.3 Elaboración y Ejecución del Videojuego “PONG”
    3.3.1 Señales de sincronismo: VGA SYNC

    3.3.2 Generador de pixeles: PONG_GRAPH
              Objetos Rectangulares
    3.3.3 Objetos no Rectangulares
    3.3.4 Animacion: PONG_GRAPH_ANIMADO
3.4 Programa Principal: JUEGO_PONG

Capitulo 4: CONCLUSIONES Y MEJORAS
4.1 Conclusiones
4.2 Mejoras

5. BIBLIOGRAFÍA
6. ANEXOS

Notas:
Cabe resaltar de este proyecto, que presenta el modo de manejo de un controlador general para monitor VGA (punto 2.3), ademas que en la descripcion VHDL se la implemento, explicando cada parte del codigo general (punto 3.3) para una mejor comprencion.

Link de descarga documento pdf:
http://www.mediafire.com/?qmpjkg9plma6z1a

Peso Aproximado:
5Mb